TI中文支持网
TI专业的中文技术问题搜集分享网站

28335AD采样的问题

采样20khz的正弦波,周期为50us. AD设置为双排同步采样。

ADC的时钟设置:ADCTRL1.CPS=0, ADCTRL3.ADCCLKPS=0,那么ADC的时钟为最大的25mHZ.

ADC的采样频率:Fsoc=ADCCLK/(ACQ_PS[11:8]+1)。   程序中ADCTRL1.ACQ_PS=0

那么采样DMA控制ADC 采样,如下代码中:

AdcRegs.ADCTRL2.bit.SOC_SEQ1 = 1;

for(i=0; i<10; i++)

{

    DSP28x_usDelay(2);

   AdcRegs.ADCTRL2.bit.SOC_SEQ1 = 1;

}

while(!ADC_END);

DSP28x_usDelay 需要延迟多长时间? 50us的周期内我可以采样多少点?

谢谢 ! 在线等

刚才增加了AdcRegs.ADCTRL1.bit.CONT_RUN = 1后,DSP28x_usDelay(18);可以程序执行下去。 数值再小的化,就一直等待ADC_END。

赞(0)
未经允许不得转载:TI中文支持网 » 28335AD采样的问题
分享到: 更多 (0)