TI中文支持网
TI专业的中文技术问题搜集分享网站

TXS0108E的延迟参数

各位大佬,小弟最近看0108E的规格书,又有点疑问了,就是一些延迟参数的规律好像有点不大对的样子,可能是小弟知识储备不足,所以特来询问一下

1、tPLH的参数,

在VCCA=1.5±0.1  VCCB=2.5±0.2的情况下,A到B的方向,延迟参数最大值为10ns

在VCCA=1.8±0.15  VCCB=2.5±0.2的情况下,A到B的方向,延迟参数最大值为2.1ns

在VCCA=2.5±0.2  VCCB=2.5±0.2的情况下,A到B的方向,延迟参数最大值为5.2ns

2、tPLH的参数,

在VCCA=1.5±0.1  VCCB=2.5±0.2的情况下,B到A的方向,延迟参数最大值为1.6ns

在VCCA=1.8±0.15  VCCB=2.5±0.2的情况下,B到A的方向,延迟参数最大值为5ns

在VCCA=2.5±0.2  VCCB=2.5±0.2的情况下,B到A的方向,延迟参数最大值为3.5ns

这两个参数中,那个1.6和2.1的参数怪怪的,有点不符合规律啊,看其他的参数都是有规律的变大或者变小,这个是怎么回事啊

Kailyn Chen:

您好,您参考的不是最新版本的datasheet,请参考最新版本–REVISED MAY 2020的datasheet:

www.ti.com.cn/…/txs0108e

user5778413:

回复 Kailyn Chen:

我刚刚看了,最新的和我上面的参数没有变化,还是一样的

Kailyn Chen:

回复 user5778413:

您好,是的我刚看了下几个版本的,包括之前版本的数据手册,这里的参数都没有变化,所以应该没有错误。
我这边再确认一下,给您带来不便非常抱歉。

Kailyn Chen:

回复 user5778413:

您好,已经确认,这个表格中的tpd参数都没有问题。 1.6ns或者2.1ns都是正确的。

赞(0)
未经允许不得转载:TI中文支持网 » TXS0108E的延迟参数
分享到: 更多 (0)