TI中文支持网
TI专业的中文技术问题搜集分享网站

DS90UB964 mipi clk波形

芯片上电后是否mipi进入到低速模式,mipi clk输出1.2V左右的电压,启动内部test mode,对应的输出mipi口进入到高速模式,输出200mv左右的摆动波形。谁有波形,能否附上,谢谢!

Kailyn Chen:

您好,进入低速还是高速模式,是和strap pin引脚MODE的设置有关的,可以参考Table 2. Configuration Select (MODE)。
您启动test mode,是否有测到CSI CLK的输出波形?

Kailyn Chen:

您好,进入低速还是高速模式,是和strap pin引脚MODE的设置有关的,可以参考Table 2. Configuration Select (MODE)。
您启动test mode,是否有测到CSI CLK的输出波形?

boost boost:

回复 Kailyn Chen:

有输出波形,但是固定pwm的方波,从幅值和频率来看mpi输出也没有进入到高速工作模式。还请继续指点,谢谢!

Kailyn Chen:

回复 boost boost:

您好,是否进入高速HF还是低速LF模式,要么是通过MODE引脚进行配置,要么是通过寄存器配置。
您是怎么配置的?

boost boost:

回复 Kailyn Chen:

8.5.6.1的基础上面就改了一个输出数据格式的寄存器,其它的没有动。我理解的mode脚对应的raw是HF还是LF和最终图像通过mipi传输mipi工作模式是高速还是低速好像不是一个事情。您这边是否有demon板子,能否给两张图,感谢!
i2c_write 0x1 0x7a 0x32 0x01 #csi0i2c_write 0x1 0x7a 0x33 0x01
i2c_write 0x1 0x7a 0xB0 0x00
i2c_write 0x1 0x7a 0xB1 0x01
i2c_write 0x1 0x7a 0xB2 0x01
i2c_write 0x1 0x7a 0xB1 0x02
i2c_write 0x1 0x7a 0xB2 0x33
i2c_write 0x1 0x7a 0xB1 0x03
#i2c_write 0x1 0x7a 0xB2 0x24 #RGB888
i2c_write 0x1 0x7a 0xB2 0x2C#RAW12
i2c_write 0x1 0x7a 0xB1 0x04
i2c_write 0x1 0x7a 0xB2 0x0F
i2c_write 0x1 0x7a 0xB1 0x05
i2c_write 0x1 0x7a 0xB2 0x00
i2c_write 0x1 0x7a 0xB1 0x06
i2c_write 0x1 0x7a 0xB2 0x01
i2c_write 0x1 0x7a 0xB1 0x07
i2c_write 0x1 0x7a 0xB2 0xE0
i2c_write 0x1 0x7a 0xB1 0x08
i2c_write 0x1 0x7a 0xB2 0x02
i2c_write 0x1 0x7a 0xB1 0x09
i2c_write 0x1 0x7a 0xB2 0xD0
i2c_write 0x1 0x7a 0xB1 0x0A
i2c_write 0x1 0x7a 0xB2 0x04
i2c_write 0x1 0x7a 0xB1 0x0B
i2c_write 0x1 0x7a 0xB2 0x1A
i2c_write 0x1 0x7a 0xB1 0x0C
i2c_write 0x1 0x7a 0xB2 0x0C
i2c_write 0x1 0x7a 0xB1 0x0D
i2c_write 0x1 0x7a 0xB2 0x67
i2c_write 0x1 0x7a 0xB1 0x0E
i2c_write 0x1 0x7a 0xB2 0x21
i2c_write 0x1 0x7a 0xB1 0x0F
i2c_write 0x1 0x7a 0xB2 0x0A

Kailyn Chen:

回复 boost boost:

RAW data的LF还是HF模式指的是serializer的传输数据模式,我理解的和CSI 的LF和HF是一致的,假如deserializer 只支持HF mode, 那么Serializer 传输的12bit LF mode也是不会被Deserializer 接收的。

抱歉我手头上没有pattern generator的输出波形图。 或者可以去英文e2e论坛上问下。

boost boost:

回复 Kailyn Chen:

好的,谢谢!

赞(0)
未经允许不得转载:TI中文支持网 » DS90UB964 mipi clk波形
分享到: 更多 (0)