TI中文支持网
TI专业的中文技术问题搜集分享网站

ADS127L11: 发送了“START”命令,adc没有工作

Part Number:ADS127L11

1、编程环境是fpga,并且成功控制过ads126x芯片

2、ads127L11和ads126x芯片的spi时序是相同的,我的程序是在ads126x基础上改的,只不过数据位从ads126x的32bit换成24bit

3、ads127L11我的配置过程是:

      “CONTROL”寄存器复位——配置MUX寄存器——CONFIG1——CONFIG2——CONFIG3——CONFIG4——CONTROL寄存器写00h——“CONTROL”寄存器的“START”位置1开启转换。

结果是ADC的DRDY引脚一直是高电平,说明adc并没有开始工作。我看官方提供的配置例程里面,是控制的“START”引脚,而且是先让“START”引脚拉高,再配置寄存器。

我也尝试过先给“CONTROL”寄存器“START”写1,也不行。是配置流程有特殊要求吗?

附寄存器配置:

CONTROL  = 16'h8358,           //复位
MUX            =    16'h8400,      //极性选择
CONFIG1    = 16'h850B, CONFIG2   = 16'h8620,         //选择start/stop control mode
CONFIG3   = 16'h870D,        //速率
CONFIG4   = 16'h8800,        //选择内部时钟
CONTROL  = 16'h0000,
CONTROL  = 16'h8302;        //开启转换

Amy Luo:

您好,

下周一我会具体看下您的问题

,

user4583488:

我用示波器仔细核对过SCLK和DIN的时序关系,确实是如手册说的在SCLK的下降沿写入寄存器数据。我想配置的寄存器数据是已经被写入了。配置完再开启“START”这个过程我感觉也合理啊,可是ADC确实没工作,DRDY引脚一直是高电平。

顺便提一下,电路图上ADC的“START”引脚是接地的,ADC的操作是4线SPI模式

,

Amy Luo:

写入寄存器值后,您是否通过读其值来验证可以正确写入寄存器?

另外,在 ADS127L11 产品主页有 C Example Code,不知道您是否参考了:

,

Amy Luo:

由于您这个问题与下面链接问题是同一个问题,我将在下面链接中回复,这个帖子先关了

https://e2echina.ti.com/support/data-converters/f/data-converters-forum/276919/ads127l11-adc?tisearch=e2e-sitesearch&keymatch=%20user%3A52349#

赞(0)
未经允许不得转载:TI中文支持网 » ADS127L11: 发送了“START”命令,adc没有工作
分享到: 更多 (0)