TI中文支持网
TI专业的中文技术问题搜集分享网站

ads1204 基于fpga的sinc3滤波问题

我是用DSP给FPGA提供滤波时钟的(也就是CNR,设置为256),输入给的是恒定的值,不知为何输出的25位数据为什么都不是定值,有做过的高手吗?求助!

S S3:

求助啊,帮我解决这个问题,我送话费答谢~

Martin:

 为什么输出是25位呢?

输出不是定值,波动范围有多大?

 

赞(0)
未经允许不得转载:TI中文支持网 » ads1204 基于fpga的sinc3滤波问题
分享到: 更多 (0)