TI中文支持网
TI专业的中文技术问题搜集分享网站

标签:ADS8568

第2页
数据转换器

ADS8568模拟电源和数字电源上电时序问题

Data Converters阅读(231)赞(0)

在调试ADS8568时,出现DVDD上电,但是AVDD为正常供电的情况。结果就是CPU与ADC连接的并口,因为被ADC拉死,导致CPU无法启动。 ADS8568模拟电源和数字电源两个电源,根据datasheet描述,AVDD为IC工作电源,...

数据转换器

有一个非常小的问题关于ADS8568

Data Converters阅读(222)赞(0)

      请问一下,当ADS8568和28335配合的时候,是ADS8568的RD端口来使能28335的xRDn端口使数据传输进入DSP28335的是吗,CS端口来使能28335的XZCSn端口来使能芯片选择...

数据转换器

ADS8568内部参考源问题

Data Converters阅读(166)赞(0)

在使用ADS8568的时候发现内部参考源有不稳定的现象,原理图见附件 ADS8568的配置: PAR/SER = 1, 串行数据REFEN/WR = 1, 使能内部参考HW/SW = 0, 硬件配置模式RANGE/XCLK = 1, 2VR...

数据转换器

关于AD采样芯片

Data Converters阅读(191)赞(0)

最近想用AD芯片进行采样,初步选择了ADS8568这一款,有一些疑问想咨询下: 1. 这是8通道的AD芯片,如果8个通道同时使用,每个通道的采样率皆可达到650KSps(以并行方式来说的采样率)? 2. 当采交流时,可不可以采集峰值在正负1...

关于ADS8568的一些问题-TI中文支持网
数据转换器

关于ADS8568的一些问题

Data Converters阅读(178)赞(0)

一个高速列车项目,做16通道同步数据采集节点,使用两片ADS8568,两片工作在串行模式,菊花 链方式连接,前一片的SDO_x接后一片的DCIN_x。   在串行软件模式下,将两片的SDI,SCLK,XCLK,BUSY,CONVS...

数据转换器

ADS8568与Tms320f28xx通訊

Data Converters阅读(133)赞(0)

您好,我打算用dsp的28系列與ads8568通訊,请问有无相关的控制代码以及心电信号数据传输的代码,以便在此基础上进行开发,或是msp系列的也可以,謝謝 Robin Feng: 心电采集为何不用ads129x系列?集成度比较高

数据转换器

ADS8568

Data Converters阅读(130)赞(0)

您好,我是剛接觸此晶片的新手,想問貴公司有參考的範例程式,可以用來跟此晶片溝通使用。謝謝 Li Chih Hung: 回复 Robin Feng: 謝謝,我去找看看