TI中文支持网
TI专业的中文技术问题搜集分享网站

标签:ADS8365

ADS8365输出异常-TI中文支持网
数据转换器

ADS8365输出异常

Data Converters阅读(220)赞(0)

芯片一直输出FFFF是芯片没工作么… 但是我的EOC是有反馈的,测Vrefout也有2.5V的输出,应该是能正常工作啊 输入电路是这个样子的 我在datasheet上看的是说单端就是-IN,差分就是二分之一-in+(+in),所...

数据转换器

ADS8365工作问题

Data Converters阅读(199)赞(0)

最近在使用ADS8365这款ADC,自己单独做板子的时候测着能正常工作,程序应该没问题,但是集成到整个大的系统之后就没反应了 用FPGA抓波形看到HOLDB和HOLDA都有下拉,但是EOC脚就是没反应 检查过电源,供电正常,也不发烫 想问下...

ADS8365频谱异常-TI中文支持网
数据转换器

ADS8365频谱异常

Data Converters阅读(217)赞(0)

各位大侠, 请教下关于ADS8365的问题,在测试时发现将输入端短接,测得的频谱上有类似陷波的波形(如图1所示),且不与采样频率有关; 1,曾经怀疑过电源耦合的干扰,采用外接供电的方式也是会有发生; 2,测试时偶然间将输入正负短并接入100...

数据转换器

分享 ->基于ADS8365+F2812的数据采样系统

Data Converters阅读(300)赞(0)

你好! 为避免重复的工作和加快项目进度,分享基于3pcs ADS8365+TMS320F2812的数据采集系统, 可用于电力自动化, 电机控制或其他数据采集场合。 附件目录: 1)ADS8365+F2812的AD6 原始文件 2)基于F28...