TI中文支持网
TI专业的中文技术问题搜集分享网站

标签:ADCSPI

第4页
数据转换器

ADS1256采集不到数据

Data Converters阅读(157)赞(0)

我准备用ADS1256结合飞思卡尔的单片机设计一个数据采集系统,但是不知道为什么采用SPI通信时,得到的总是一个固定的数。 user151383853: 固定为最大值, 最小值,通常器件还没有工作, 要先从连接, 供电, 复位等硬件问题开始...

数据转换器

ADS1258 偶尔读不出的情况

Data Converters阅读(168)赞(0)

同样是ADS1258使用过程中,遇到的问题是一般情况下能通讯了,但有时候会出现偶尔启动板子,不能顺利读出ID,需要重启几次成功。额,当然这个问题不是很大,如果有了解的可以帮忙分析一下为什么,可能哪些原因。 user151383853: 这个...

数据转换器

关于ADS1298R与ARM上位机连接的问题

Data Converters阅读(181)赞(0)

各位TI的工程师和同行朋友,大家好。 我上个月刚转岗负责公司移动式心电图机产品的研发工作,使用的方案是:ADS1298R采集芯片加AM3358 CPU,由于我之前从来没接触过硬件开发的工作,目前在ads1298r 和 上位机的spi连接方面...

数据转换器

ads1252与430f149的连接

Data Converters阅读(161)赞(0)

你好,我用430f149采集1252的数据,但是输出数据一直与输入电压不对应,基本上高八位一直是ox23,后面16位一直无规律变化。程序我按照参考程序的时序写的,时序方面应该没有问题。现在我主要怀疑两个方面,第一,1252是+5v供电,而1...

数据转换器

ads8688读不到正确的数据

Data Converters阅读(208)赞(0)

大家好,我是新手,最近调试ads8688时 总是读不到正确的数据(大部分时候读到的数据全部是高电平),怀疑是我的PCB设计有问题,现在把原理图发到这里来,请给位高手前辈看一下,我的PCB设计的有什么问题 user151383853: 我看先...

数据转换器

ADS8332芯片调试读数有问题

Data Converters阅读(165)赞(0)

您好,我使用FPGA调试ADS8332读取数据,模拟电压为VA=5V,数字电压为VBD=3.3V按照数据手册9页的figure2与figure3设计的波形,设计时延迟时间、保持时间之类的参数也是按照第8页的表格设计,现在的情况是,我cclk...